Part Number Hot Search : 
226X0 MB3793 PS256 EN0EM H0101001 XC167CI OPF517 SCBAR10
Product Description
Full Text Search
 

To Download CY7C006A-20AC Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 CY7C006A CY7C007A CY7C017A32K/16K x 8, 32K x 9 Dual-Port Static RAM
CY7C006A/CY7C007A CY7C016A/CY7C017A
32K/16K x8, 32K/16K x9 Dual-Port Static RAM
Features
* True dual-ported memory cells which allow simultaneous access of the same memory location * 16K x 8 organization (CY7C006A) * 32K x 8 organization (CY7C007A) * 16K x 9 organization (CY7C016A) * 32K x 9 organization (CY7C017A) * 0.35-micron CMOS for optimum speed/power * High-speed access: 12[1]/15/20 ns * Low operating power -- Active: ICC = 180 mA (typical) -- Standby: ISB3 = 0.05 mA (typical) * Fully asynchronous operation * Automatic power-down * Expandable data bus to 16/18 bits or more using Master/Slave chip select when using more than one device * On-chip arbitration logic * Semaphores included to permit software handshaking between ports * INT flags for port-to-port communication * Pin select for Master or Slave * Commercial temperature range * Available in 68-pin PLCC (CY7C006A, CY7C007A and CY7C017A), 64-pin TQFP (CY7C006A), and in 80-pin TQFP (CY7C007A and CY7C016A)
Logic Block Diagram
R/WL CEL OEL R/WR CER OER
I/O0L-I/O7/8L
[2]
8/9
8/9
[2]
I/O Control
I/O Control
I/O0R-I/O7/8R
[4] A0L-A13/14L
14/15
Address Decode
14/15
True Dual-Ported RAM Array
Address Decode
14/15
14/15
A0R-A13/14R
[4]
[4] A0L-A13/14L CEL OEL R/WL SEML
Interrupt Semaphore Arbitration
BUSYL INTL
[3]
A0R-A13/14R CER OER R/WR SEMR
[3]
[4]
BUSYR INTR
For the most recent information, visit the Cypress web site at www.cypress.com Notes: 1. See page 7 for Load Conditions. 2. I/O0-I/O7 for x8 devices; I/O0-I/O8 for x9 devices. 3. BUSY is an output in master mode and an input in slave mode. 4. A0-A13 for 16K; A0-A14 for 32K devices.
M/S
Cypress Semiconductor Corporation Document #: 38-06045 Rev. *C
*
3901 North First Street
*
San Jose
*
CA 95134 * 408-943-2600 Revised April 11, 2005
CY7C006A/CY7C007A CY7C016A/CY7C017A
Pin Configurations
68-Pin PLCC Top View
[6] A14L A13L
SEML R/WL A12L A11L A10L CEL VCC
NC(I/O8L[5]) OEL
I/O1L
I/O0L
A9L
A8L A7L 63 62
68 67
66
65
64
61 60 59 58 57 56 55
9
8
7 6
5
4
3
2 1
A6L
I/O2L I/O3L I/O4L I/O5L GND I/O6L I/O7L VCC GND I/O0R I/O1R I/O2R VCC I/O3R I/O4R I/O5R I/O6R
10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 26
A5L A4L A3L A2L A1L A0L INTL BUSYL GND M/S BUSYR INTR A0R A1R A2R A3R A4R
CY7C006A (16K x 8) CY7C007A (32K x 8) CY7C017A (32K x 9)
54 53 52 51 50 49 48 47 46 45 44
NC(I/O8R[5] )
SEMR
CER
[6
A9R
A8R A7R A7L 64
GND
A13R
A12R
OER R/WR
I/O7R
80-Pin TQFP Top View
[6] A13L
SEM L
I/O1L I/O0L
R/W L
A 14R
A12L
A11L
OE L
CE L NC
A14L
A10L
VCC
A9L
A11R A10R
A8L
A6L
80
79
78 77
NC
76
75
74
73
72 71
70
69
68
67
66 65
63 62
NC I/O 2L I/O 3L I/O 4L I/O 5L GND I/O 6L I/O 7L V CC NC GND I/O0R I/O1R I/O2R V CC I/O 3R I/O 4R I/O 5R I/O 6R NC
1 2 3 4 5 6 7 8
61
NC NC
A6R A5R
60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42
NC A5L A4L A3L A2L A1L A0L INTL BUSYL GND M/S BUSYR INTR A0R A1R A2R A3R A4R NC NC
9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
CY7C007A (32K x 8) CY7C016A (16K X 9)
27
28
29 30
31
32
33
34
35 36
37
38 39 NC A5R
R/WR
SEMR
I/O7R
[6] A 14R
A13R
CER
A9R
A8R
A7R
GND
A6R
NC
OER
A12R
Notes: 5. This pin is I/O for CY7C017A only. 6. A14 is a no connect pin for 16K devices.
Document #: 38-06045 Rev. *C
A11R A10R
NC
NC
40
41
Page 2 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Pin Configurations (continued)
64-Pin TQFP Top View
SEML R/WL I/O1L I/O0L CEL A13L A12L A11L A10L OEL VCC A9L A8L 52 A7L A6L A5L 49
64
63
62 61
60
59
58
57
56 55
54
53
I/O2L I/O3L I/O4L I/O5L GND I/O6L I/O7L VCC GND I/O0R I/O1R I/O2R VCC I/O3R I/O4R I/O5R
51 50
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
48 47 46 45 44 43 42
A4L A3L A2L A1L A0L INTL BUSYL GND M/S BUSYR INTR A0R A1R A2R A3R A4R
CY7C006A (16K x 8)
41 40 39 38 37 36 35 34
18
19 20
21
22
23
24
25 26
27
28
29
30 31 A7R
R/WR
SEMR
I/O6R
CER A13R
A9R
A8R
GND
A12R
Selection Guide
CY7C006A CY7C007A CY7C016A CY7C017A -12[1] Maximum Access Time (ns) Typical Operating Current (mA) Typical Standby Current for ISB1 (mA) (Both Ports TTL Level) Typical Standby Current for ISB3 (mA) (Both Ports CMOS Level) 12 195 55 0.05 CY7C006A CY7C007A CY7C016A CY7C017A -15 15 190 50 0.05 CY7C006A CY7C007A CY7C016A CY7C017A -20 20 180 45 0.05
Document #: 38-06045 Rev. *C
I/O7R
A11R A10R
OER
A6R A5R
32
17
16
33
Page 3 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Pin Definitions
Left Port CEL R/WL OEL A0L-A14L I/O0L-I/O8L SEML INTL BUSYL M/S VCC GND NC CER R/WR OER A0R-A14R I/O0R-I/O8R SEMR INTR BUSYR Right Port Chip Enable Read/Write Enable Output Enable Address Data Bus Input/Output (I/O0-I/O7 for x8 devices and I/O0-I/O8 for x9) Semaphore Enable Interrupt Flag Busy Flag Master or Slave Select Power Ground No Connect means of a mail box. The semaphores are used to pass a flag, or token, from one port to the other to indicate that a shared resource is in use. The semaphore logic is comprised of eight shared latches. Only one side can control the latch (semaphore) at any time. Control of a semaphore indicates that a shared resource is in use. An automatic power-down feature is controlled independently on each port by a Chip Select (CE) pin. The CY7C006A, CY7C007A, and CY7C017A are available in 68-pin PLCC packages, the CY7C006A is also available in 64-pin TQFP, and the CY7C007A and CY7C016A are also available in 80-pin TQFP packages. Write Operation Data must be set up for a duration of tSD before the rising edge of R/W in order to guarantee a valid write. A write operation is controlled by either the R/W pin (see Write Cycle No. 1 waveform) or the CE pin (see Write Cycle No. 2 waveform). Required inputs for non-contention operations are summarized in Table 1. If a location is being written to by one port and the opposite port attempts to read that location, a port-to-port flowthrough delay must occur before the data is read on the output; otherwise the data read is not deterministic. Data will be valid on the port tDDD after the data is presented on the other port. Read Operation When reading the device, the user must assert both the OE and CE pins. Data will be available tACE after CE or tDOE after OE is asserted. If the user wishes to access a semaphore flag, then the SEM pin must be asserted instead of the CE pin, and OE must also be asserted. Interrupts The upper two memory locations may be used for message passing. The highest memory location (7FFF) is the mailbox for the right port and the second-highest memory location (7FFE) is the mailbox for the left port. When one port writes to Page 4 of 20 Description
Architecture
The CY7C006A, CY7C007A, CY7C016A and CY7C017A consist of an array of 32K/16K words of 8 bits and 32K words of 9 bits each of dual-port RAM cells, I/O and address lines, and control signals (CE, OE, R/W). These control pins permit independent access for reads or writes to any location in memory. To handle simultaneous writes/reads to the same location, a BUSY pin is provided on each port. Two Interrupt (INT) pins can be utilized for port-to-port communication. Two Semaphore (SEM) control pins are used for allocating shared resources. With the M/S pin, the devices can function as a master (BUSY pins are outputs) or as a slave (BUSY pins are inputs). The devices also have an automatic power-down feature controlled by CE. Each port is provided with its own Output Enable control (OE), which allows data to be read from the device.
Functional Description
The CY7C006A, CY7C007A, CY7C016A, and CY7C017A are low-power CMOS 32K x 8/9 and 16K x 8/9 dual-port static RAMs. Various arbitration schemes are included on the devices to handle situations when multiple processors access the same piece of data. Two ports are provided, permitting independent, asynchronous access for reads and writes to any location in memory. The devices can be utilized as standalone 8/9-bit dual-port static RAMs or multiple devices can be combined in order to function as a 16/18-bit or wider master/slave dual-port static RAM. An M/S pin is provided for implementing 16/18-bit or wider memory applications without the need for separate master and slave devices or additional discrete logic. Application areas include interprocessor/multiprocessor designs, communications status buffering, and dual-port video/graphics memory. Each port has independent control pins: Chip Enable (CE), Read or Write Enable (R/W), and Output Enable (OE). Two flags are provided on each port (BUSY and INT). BUSY signals that the port is trying to access the same location currently being accessed by the other port. The Interrupt flag (INT) permits communication between ports or systems by Document #: 38-06045 Rev. *C
CY7C006A/CY7C007A CY7C016A/CY7C017A
the other port's mailbox, an interrupt is generated to the owner. The interrupt is reset when the owner reads the contents of the mailbox. The message is user defined. Each port can read the other port's mailbox without resetting the interrupt. The active state of the busy signal (to a port) prevents the port from setting the interrupt to the winning port. Also, an active busy to a port prevents that port from reading its own mailbox and, thus, resetting the interrupt to it. If an application does not require message passing, do not connect the interrupt pin to the processor's interrupt request input pin. The operation of the interrupts and their interaction with Busy are summarized in Table 2. Busy The CY7C006A, CY7C007A, CY7C016A and CY7C017A provide on-chip arbitration to resolve simultaneous memory location access (contention). If both ports' CEs are asserted and an address match occurs within tPS of each other, the busy logic will determine which port has access. If tPS is violated, one port will definitely gain permission to the location, but it is not predictable which port will get that permission. BUSY will be asserted tBLA after an address match or tBLC after CE is taken LOW. Master/Slave A M/S pin is provided in order to expand the word width by configuring the device as either a master or a slave. The BUSY output of the master is connected to the BUSY input of the slave. This will allow the device to interface to a master device with no external components. Writing to slave devices must be delayed until after the BUSY input has settled (tBLC or tBLA), otherwise, the slave chip may begin a write cycle during a contention situation. When tied HIGH, the M/S pin allows the device to be used as a master and, therefore, the BUSY line is an output. BUSY can then be used to send the arbitration outcome to a slave. Semaphore Operation The CY7C006A, CY7C007A, CY7C016A and CY7C017A provide eight semaphore latches, which are separate from the dual-port memory locations. Semaphores are used to reserve resources that are shared between the two ports. The state of the semaphore indicates that a resource is in use. For example, if the left port wants to request a given resource, it sets a latch by writing a zero to a semaphore location. The left port then verifies its success in setting the latch by reading it. After writing to the semaphore, SEM or OE must be deasserted for tSOP before attempting to read the semaphore. The semaphore value will be available tSWRD + tDOE after the rising edge of the semaphore write. If the left port was successful (reads a zero), it assumes control of the shared resource, otherwise (reads a one) it assumes the right port has control and continues to poll the semaphore. When the right side has relinquished control of the semaphore (by writing a one), the left side will succeed in gaining control of the semaphore. If the left side no longer requires the semaphore, a one is written to cancel its request. Semaphores are accessed by asserting SEM LOW. The SEM pin functions as a chip select for the semaphore latches (CE must remain HIGH during SEM LOW). A0-2 represents the semaphore address. OE and R/W are used in the same manner as a normal memory access. When writing or reading a semaphore, the other address pins have no effect. When writing to the semaphore, only I/O0 is used. If a zero is written to the left port of an available semaphore, a one will appear at the same semaphore address on the right port. That semaphore can now only be modified by the side showing zero (the left port in this case). If the left port now relinquishes control by writing a one to the semaphore, the semaphore will be set to one for both sides. However, if the right port had requested the semaphore (written a zero) while the left port had control, the right port would immediately own the semaphore as soon as the left port released it. Table 3 shows sample semaphore operations. When reading a semaphore, all data lines output the semaphore value. The read value is latched in an output register to prevent the semaphore from changing state during a write from the other port. If both ports attempt to access the semaphore within tSPS of each other, the semaphore will definitely be obtained by one side or the other, but there is no guarantee which side will control the semaphore.
Document #: 38-06045 Rev. *C
Page 5 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Maximum Ratings[7]
(Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................................-65C to +150C Ambient Temperature with Power Applied.............................................-55C to +125C Supply Voltage to Ground Potential ............... -0.3V to +7.0V DC Voltage Applied to Outputs in High Z State ............................................... -0.5V to +7.0V DC Input Voltage[8] .........................................-0.5V to +7.0V Output Current into Outputs (LOW)............................. 20 mA Static Discharge Voltage............................................ >2001V Latch-Up Current..................................................... >200 mA
Operating Range
Range Commercial Ambient Temperature 0C to +70C VCC 5V 10%
Electrical Characteristics Over the Operating Range
CY7C006A CY7C007A CY7C016A CY7C017A -12[1] Parameter VOH VOL VIH VIL IOZ ICC Description Output HIGH Voltage (VCC = Min., IOH = -4.0 mA) Output LOW Voltage (VCC = Min., IOH = +4.0 mA) Input HIGH Voltage Input LOW Voltage Output Leakage Current Operating Current (VCC = Max., IOUT = 0 mA) Outputs Disabled Standby Current (Both Ports TTL Level) CEL & CER VIH, f = fMAX Standby Current (One Port TTL Level) CEL | CER VIH, f = fMAX Standby Current (Both Ports CMOS Level) CEL & CER VCC - 0.2V, f=0 Com'l. Ind. Com'l. Ind. Com'l. Ind. Com'l. Ind. 115 185 0.05 0.5 125 205 55 75 -10 195 2.2 0.8 10 325 -10 190 215 50 65 120 135 0.05 0.05 110 125 Min. 2.4 0.4 2.2 0.8 10 280 305 70 95 180 205 0.5 0.5 160 175 100 140 0.05 0.5 110 160 45 65 -10 180 Typ. Max. Min. 2.4 0.4 2.2 0.8 10 275 -15 Typ. Max. Min. 2.4 0.4 -20 Typ. Max. Unit V V V V A mA mA mA mA mA mA mA mA mA mA
ISB1
ISB2
ISB3
ISB4
Standby Current Com'l. (One Port CMOS Level) Ind. CEL | CER VIH, f = fMAX[8, 9]
Capacitance Table[10]
Parameter CIN COUT Description Input Capacitance Output Capacitance Test Conditions TA = 25C, f = 1 MHz, VCC = 5.0V Max. 10 10 Unit pF pF
Notes: 7. The Voltage on any input or I/O pin cannot exceed the power pin during power-up. 8. Pulse width < 20 ns. 9. fMAX = 1/tRC = All inputs cycling at f = 1/tRC (except output enable). f = 0 means no address or control lines change. This applies only to inputs at CMOS level standby ISB3. 10. Tested initially and after any design or process changes that may affect these parameters.
Document #: 38-06045 Rev. *C
Page 6 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
AC Test Loads and Waveforms
5V 5V R1 = 893 OUTPUT C = 30 pF R2 = 347 OUTPUT C = 30 pF VTH = 1.4V RTH = 250 R1 = 893 OUTPUT C = 5 pF R2 = 347
(a) Normal Load (Load 1)
(b) Thevenin Equivalent (Load 1)
(c) Three-State Delay (Load 2) (Used for tLZ, tHZ, tHZWE, & tLZWE including scope and jig)
AC Test Loads (Applicable to -12 only)[11]
OUTPUT
Z0 = 50 C
R = 50 3.0V GND VTH = 1.4V 10% 3 ns
ALL INPUT PULSES
90% 90% 10% 3 ns
(a) Load 1 (-12 only)
1 . 00
0. 90
0. 80
(ns) for all -12 access times
0. 70
0. 60
0. 50
0. 40
0. 30
0. 20
0. 1 0
0. 00 10 15 20 25 30 35
Capacitance (pF)
(b) Load Derating Curve
Note: 11. Test Conditions: C = 10 pF.
Document #: 38-06045 Rev. *C
Page 7 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Switching Characteristics Over the Operating Range[12]
CY7C006A CY7C007A CY7C016A CY7C017A -12[1] Parameter READ CYCLE tRC tAA tOHA tACE[13] tDOE tLZOE[14, 15, 16] tHZOE[14, 15, 16] tLZCE[14, 15, 16] tHZCE[14, 15, 16] tPU[16] tPD[16] WRITE CYCLE tWC tSCE[13] tAW tHA tSA[13] tPWE tSD tHD[19] tHZWE[15, 16] tLZWE[15, 16] tWDD[17] tDDD[17] BUSY TIMING tBLA tBHA tBLC tBHC tPS Write Cycle Time CE LOW to Write End Address Valid to Write End Address Hold From Write End Address Set-Up to Write Start Write Pulse Width Data Set-Up to Write End Data Hold From Write End R/W LOW to High Z R/W HIGH to Low Z Write Pulse to Data Delay Write Data Valid to Read Data Valid
[18]
-15 Min. 15 12 15 3 12 8 15 10 3 10 10 3 10 10 0 12 15 15 12 12 0 0 12 10 0 10 10 3 25 20 12 12 12 12 30 25 15 15 15 15 5 5 3 20 15 15 0 0 15 15 0 0 3 3 3 Max. Min. 20
-20 Max. Unit ns 20 20 12 12 12 20 ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns 12 45 30 20 20 20 17 ns ns ns ns ns ns ns ns ns
Description Read Cycle Time Address to Data Valid Output Hold From Address Change CE LOW to Data Valid OE LOW to Data Valid OE LOW to Low Z OE HIGH to High Z CE LOW to Low Z CE HIGH to High Z CE LOW to Power-Up CE HIGH to Power-Down
Min. 12 3
Max.
3 3 0
12 10 10 0 0 10 10 0 3
BUSY LOW from Address Match BUSY HIGH from Address Mismatch BUSY LOW from CE LOW BUSY HIGH from CE HIGH Port Set-Up for Priority 5
Notes: 12. Test conditions assume signal transition time of 3 ns or less, timing reference levels of 1.5V, input pulse levels of 0 to 3.0V, and output loading of the specified IOI/IOH and 30-pF load capacitance. 13. To access RAM, CE = L, SEM = H. To access semaphore, CE = H and SEM = L. Either condition must be valid for the entire tSCE time. 14. At any given temperature and voltage condition for any given device, tHZCE is less than tLZCE and tHZOE is less than tLZOE. 15. Test conditions used are Load 3. 16. This parameter is guaranteed but not tested. 17. For information on port-to-port delay through RAM cells from writing port to reading port, refer to Read Timing with Busy waveform. 18. Test conditions used are Load 2. 19. For 15 ns industrial parts tHD Min. is 0.5 ns.
Document #: 38-06045 Rev. *C
Page 8 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Switching Characteristics Over the Operating Range[12] (continued)
CY7C006A CY7C007A CY7C016A CY7C017A -12[1] Parameter tWB tWH tBDD[20] tINS tINR tSOP tSWRD tSPS tSAA Description R/W HIGH after BUSY (Slave) R/W HIGH after BUSY HIGH (Slave) BUSY HIGH to Data Valid
[18]
-15 Min. 0 13 12 12 12 15 15 15 10 5 5 12 15 10 5 5 Max. Min. 0 15
-20 Max. Unit ns ns 20 20 20 ns ns ns ns ns ns 20 ns
Min. 0 11
Max.
INTERRUPT TIMING
INT Set Time INT Reset Time SEM Flag Update Pulse (OE or SEM) SEM Flag Write to Read Time SEM Flag Contention Window SEM Address Access Time 10 5 5
SEMAPHORE TIMING
Data Retention Mode
The CY7C006A, CY7C007A, CY7C016A, and CY7C017A are designed with battery backup in mind. Data retention voltage and supply current are guaranteed over temperature. The following rules ensure data retention: 1. Chip Enable (CE) must be held HIGH during data retention, within VCC to VCC - 0.2V. 2. CE must be kept between VCC - 0.2V and 70% of VCC during the power-up and power-down transitions. 3. The RAM can begin operation >tRC after VCC reaches the minimum operating voltage (4.5 volts).
Timing
Data Retention Mode VCC 4.5V VCC > 2.0V 4.5V tRC
V IH
CE
VCC to VCC - 0.2V
Parameter ICCDR1
Test Conditions[21] @ VCCDR = 2V
Max. 1.5
Unit mA
Switching Waveforms
Read Cycle No. 1 (Either Port Address Access)[22, 23, 24]
tRC ADDRESS tOHA DATA OUT tAA DATA VALID tOHA
PREVIOUS DATA VALID
Notes: 20. tBDD is a calculated parameter and is the greater of tWDD-tPWE (actual) or tDDD-tSD (actual). 21. CE = VCC, Vin = GND to VCC, TA = 25C. This parameter is guaranteed but not tested. 22. R/W is HIGH for read cycles. 23. Device is continuously selected CE = VIL. This waveform cannot be used for semaphore reads. 24. OE = VIL.
Document #: 38-06045 Rev. *C
Page 9 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Switching Waveforms (continued)
Read Cycle No. 2 (Either Port CE/OE Access)[22, 25, 26]
CE tACE tDOE tLZOE DATA OUT tLZCE tPU ICC CURRENT ISB tPD DATA VALID tHZCE tHZOE
OE
Read Cycle No. 3 (Either Port)[22, 24, 25, 26]
tRC ADDRESS tAA tOHA
tLZCE tABE CE tACE tLZCE DATA OUT tHZCE
Notes: 25. Address valid prior to or coincident with CE transition LOW. 26. To access RAM, CE = VIL, SEM = VIH. To access semaphore, CE = VIH, SEM = VIL.
Document #: 38-06045 Rev. *C
Page 10 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Switching Waveforms (continued)
Write Cycle No. 1: R/W Controlled Timing [27, 28, 29, 30]
tWC ADDRESS tHZOE [32] OE tAW
CE
[31]
tSA R/W tHZWE[32] DATA OUT NOTE 33
tPWE[30]
tHA
tLZWE NOTE 33 tSD tHD
DATA IN
Write Cycle No. 2: CE Controlled Timing [27, 28, 29, 34]
tWC ADDRESS tAW CE
[31]
tSA R/W
tSCE
tHA
tSD DATA IN
tHD
Notes: 27. R/W or CE must be HIGH during all address transitions. 28. A write occurs during the overlap (tSCE or tPWE) of a LOW CE or SEM. 29. tHA is measured from the earlier of CE or R/W or (SEM or R/W) going HIGH at the end of write cycle. 30. If OE is LOW during a R/W controlled write cycle, the write pulse width must be the larger of tPWE or (tHZWE + tSD) to allow the I/O drivers to turn off and data to be placed on the bus for the required tSD. If OE is HIGH during an R/W controlled write cycle, this requirement does not apply and the write pulse can be as short as the specified tPWE. 31. To access RAM, CE = VIL, SEM = VIH. 32. Transition is measured 500 mV from steady state with a 5-pF load (including scope and jig). This parameter is sampled and not 100% tested. 33. During this period, the I/O pins are in the output state, and input signals must not be applied. 34. If the CE or SEM LOW transition occurs simultaneously with or after the R/W LOW transition, the outputs remain in the high-impedance state.
Document #: 38-06045 Rev. *C
Page 11 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Switching Waveforms (continued)
Semaphore Read After Write Timing, Either Side[35]
tAA A 0-A 2 VALID ADRESS tAW SEM tSCE tSD I/O0 tSA R/W tSWRD OE WRITE CYCLE tSOP READ CYCLE tDOE DATAIN VALID tPWE tHD DATAOUT VALID tHA tSOP VALID ADRESS tACE tOHA
Timing Diagram of Semaphore Contention[36, 37, 38]
A0L -A2L
MATCH
R/WL SEM L tSPS A 0R -A 2R MATCH
R/WR SEM R
Notes: 35. CE = HIGH for the duration of the above timing (both write and read cycle). 36. I/O0R = I/O0L = LOW (request semaphore); CER = CEL = HIGH. 37. Semaphores are reset (available to both ports) at cycle start. 38. If tSPS is violated, the semaphore will definitely be obtained by one side or the other, but which side will get the semaphore is unpredictable.
Document #: 38-06045 Rev. *C
Page 12 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Switching Waveforms (continued)
Timing Diagram of Read with BUSY (M/S=HIGH)[39]
tWC ADDRESSR R/WR MATCH tPWE tSD DATA INR tPS ADDRESSL MATCH tBLA BUSYL tDDD DATA OUTL tWDD VALID VALID tHD
tBHA tBDD
Write Timing with Busy Input (M/S=LOW)
tPWE
R/W tWB
BUSY
tWH
Note: 39. CEL = CER = LOW.
Document #: 38-06045 Rev. *C
Page 13 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Switching Waveforms (continued)
Busy Timing Diagram No. 1 (CE Arbitration)[40] CELValid First:
ADDRESS L,R CEL tPS ADDRESS MATCH
CER
tBLC BUSYR
tBHC
CER Valid First:
ADDRESS L,R CER tPS ADDRESS MATCH
CE L
tBLC BUSYL
tBHC
Busy Timing Diagram No. 2 (Address Arbitration)[40] Left Address Valid First:
tRC or tWC ADDRESS L ADDRESS MATCH tPS ADDRESSR tBLA BUSY R tBHA ADDRESS MISMATCH
Right Address Valid First:
tRC or tWC ADDRESSR ADDRESS MATCH tPS ADDRESSL tBLA BUSY L tBHA ADDRESS MISMATCH
Note: 40. If tPS is violated, the busy signal will be asserted on one side or the other, but there is no guarantee to which side BUSY will be asserted.
Document #: 38-06045 Rev. *C
Page 14 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Switching Waveforms (continued)
Interrupt Timing Diagrams Left Side Sets INTR:
ADDRESSL CE L R/W L INT R tINS [42] tWC WRITE 7FFF tHA[41]
Right Side Clears INTR:
ADDRESSR CE R tINR [42] R/WR OE R INTR
tRC READ 7FFF
Right Side Sets INTL:
ADDRESSR CE R R/W R INT L tINS
[42]
tWC WRITE 7FFE tHA[41]
Left Side Clears INTL:
ADDRESSR CE L tINR[42] R/W L OE L INT L
Notes: 41. tHA depends on which enable pin (CEL or R/WL) is deasserted first. 42. tINS or tINR depends on which enable pin (CEL or R/WL) is asserted last.
tRC READ 7FFE
Document #: 38-06045 Rev. *C
Page 15 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Table 1. Non-Contending Read/Write Inputs CE H H X H L L L H L X R/W X H X OE X L H X L X X SEM H L X L H H L Outputs I/O0-I/O8 High Z Data Out High Z Data In Data Out Data In Deselected: Power-Down Read Data in Semaphore Flag I/O Lines Disabled Write into Semaphore Flag Read Write Not Allowed Operation
Table 2. Interrupt Operation Example (assumes BUSYL=BUSYR=HIGH) Left Port Function Set Right INTR Flag Reset Right INTR Flag Set Left INTL Flag Reset Left INTL Flag R/WL L X X X CEL L X X L OEL X X X L A0L-14L 7FFF X X 7FFE INTL X X L[43] H[44] R/WR X X L X CER X L L X Right Port OER X L X X A0R-14R X 7FFF 7FFE X INTR L[44] H[43] X X
Table 3. Semaphore Operation Example Function No action Left port writes 0 to semaphore Right port writes 0 to semaphore Left port writes 1 to semaphore Left port writes 0 to semaphore Right port writes 1 to semaphore Left port writes 1 to semaphore Right port writes 0 to semaphore Right port writes 1 to semaphore Left port writes 0 to semaphore Left port writes 1 to semaphore
Notes: 43. If BUSYR = L, then no change. 44. If BUSYL= L, then no change.
I/O0-I/O8 Left 1 0 0 1 1 0 1 1 1 0 1
I/O0-I/O8Right 1 1 1 0 0 1 1 0 1 1 1 Semaphore free
Status
Left Port has semaphore token No change. Right side has no write access to semaphore Right port obtains semaphore token No change. Left port has no write access to semaphore Left port obtains semaphore token Semaphore free Right port has semaphore token Semaphore free Left port has semaphore token Semaphore free
Document #: 38-06045 Rev. *C
Page 16 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Ordering Information
16K x8 Asynchronous Dual-Port SRAM Speed (ns) 12[1] Ordering Code CY7C006A-12AC CY7C006A-12JC 15 CY7C006A-15AC CY7C006A-15JC 20 CY7C006A-20AC CY7C006A-20JC 32K x8 Asynchronous Dual-Port SRAM Speed (ns) 12[1] Ordering Code CY7C007A-12AC CY7C007A-12JC 15 CY7C007A-15AC CY7C007A-15JC 20 CY7C007A-20AC CY7C007A-20JC 16K x9 Asynchronous Dual-Port SRAM Speed (ns) 12[1] 15 20 Ordering Code CY7C016A-12AC CY7C016A-15AC CY7C016A-20AC Package Name A80 A80 A80 Package Type 80-Pin Plastic Leaded Chip Carrier 80-Pin Plastic Leaded Chip Carrier 80-Pin Plastic Leaded Chip Carrier Operating Range Commercial Commercial Commercial Package Name A80 J81 A80 J81 A80 J81 Package Type 80-Pin Thin Quad Flat Pack 68-Pin Plastic Leaded Chip Carrier 80-Pin Thin Quad Flat Pack 68-Pin Plastic Leaded Chip Carrier 80-Pin Thin Quad Flat Pack 68-Pin Plastic Leaded Chip CarrieR Operating Range Commercial Commercial Commercial Commercial Commercial Commercial Package Name A65 J81 A65 J81 A65 J81 Package Type 64-Pin Thin Quad Flat Pack 68-Pin Plastic Leaded Chip Carrier 64-Pin Thin Quad Flat Pack 68-Pin Plastic Leaded Chip Carrier 64-Pin Thin Quad Flat Pack 68-Pin Plastic Leaded Chip CarrieR Operating Range Commercial Commercial Commercial Commercial Commercial Commercial
32K x9 Asynchronous Dual-Port SRAM Speed (ns) 12[1] 15 20 Ordering Code CY7C017A-12JC CY7C017A-15JC CY7C017A-20JC Package Name J81 J81 J81 Package Type 68-Pin Plastic Leaded Chip Carrier 68-Pin Plastic Leaded Chip Carrier 68-Pin Plastic Leaded Chip Carrier Operating Range Commercial Commercial Commercial
Document #: 38-06045 Rev. *C
Page 17 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Package Diagrams
64-Lead Thin Plastic Quad Flat Pack (14 x 14 x 1.4 mm) A65
51-85046-*B
Document #: 38-06045 Rev. *C
Page 18 of 20
CY7C006A/CY7C007A CY7C016A/CY7C017A
Package Diagrams (continued)
80-Pin Thin Plastic Quad Flat Pack A80
51-85065-*B
68-Lead Plastic Leaded Chip Carrier J81
51-85005-*A
All products and company names mentioned in this document may be the trademarks of their respective holders. Document #: 38-06045 Rev. *C Page 19 of 20
(c) Cypress Semiconductor Corporation, 2005. The information contained herein is subject to change without notice. Cypress Semiconductor Corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a Cypress product. Nor does it convey or imply any license under patent or other rights. Cypress products are not warranted nor intended to be used for medical, life support, life saving, critical control or safety applications, unless pursuant to an express written agreement with Cypress. Furthermore, Cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress products in life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges.
CY7C006A/CY7C007A CY7C016A/CY7C017A
Document History Page
Document Title: CY7C006A/CY7C007A/CY7C016A/CY7C017A 32K/16K x 8, 32K/16K x 9 Dual Port Static RAM Document Number: 38-06045 REV. ** *A *B *C ECN NO. 110197 122295 237620 345376 Issue Date 09/29/01 12/27/02 See ECN See ECN Orig. of Change SZV RBI YDT AEQ Description of Change Change from Spec number: 38-00831 to 38-06045 Power up requirements added to Maximum Ratings Information Removed cross information from features section Removed I-Temp versions for both packages, since they are not valid part numbers.
Document #: 38-06045 Rev. *C
Page 20 of 20


▲Up To Search▲   

 
Price & Availability of CY7C006A-20AC

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X